VOIUE

「路漫漫其修远兮 吾将上下而求索」

I/O约束

  I/O约束是必须要用的约束,又包括管脚约束和延迟约束。

管脚约束

  管脚约束就是指管脚分配,我们要指定管脚的PACKAGE_PIN和IOSTANDARD两个属性的值,前者指定了管脚的位置,后者指定了管脚对应的电平标准。

  在vivado中,使用如下方式在xdc中对管脚进行约束。

1
set_property -dict {PACKAGE_PIN AJ16  IOSTANDARD  LVCMOS18} [get_ports "led[0]"    ]

读万卷书–时序约束理论篇

周期约束理论

  首先来看什么是时序约束,泛泛来说,就是我们告诉软件(Vivado、ISE等)从哪个pin输入信号,输入信号要延迟多长时间,时钟周期是多少,让软件PAR(Place and Route)后的电路能够满足我们的要求。因此如果我们不加时序约束,软件是无法得知我们的时钟周期是多少,PAR后的结果是不会提示时序警告的。

pdf文档算是我们平时看到比较多的文档啦,而我们看pdf文件最喜欢的一个功能就是打开书签功能,这样我们就能快速定位,查看我们需要查看的内容,但是有时候会遇没有书签的pdf文档,这个时候看起来就有些不方便了,于是我在网上查了一下,还真的可以给pdf文件添加书签的办法,废话不多说,直接进入正题: