VOIUE

「路漫漫其修远兮 吾将上下而求索」

acx735引脚分配约束,方便实际工程的时候使用,避免频繁的去打开excel文档。

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
280
281
282
283
284
285
286
287
288
289
290
291
292
293
294
295
296
297
298
299
300
301
302
303
304
305
306
307
308
309
310
311
312
313
314
315
316
317
318
319
320
321
322
323
324
325
326
327
328
329
330
331
332
333
334
335
336
337
338
339
340
341
342
343
344
345
346
347
348
349
350
351
352
353
354
355
356
357
358
359
360
361
362
363
364
365
366
367
368
369
370
371
372
373
374
375
376
377
378
379
380
381
382
383
384
385
386
387
388
389
390
391
392
393
394
395
396
397
398
399
400
401
402
403
404
405
406
407
408
409
410
411
412
413
414
415
416
417
418
419
420
421
422
423
424
425
426
427
428
429
430
431
432
433
434
435
436
437
438
439
440
441
442
443
444
445
446
447
448
449
450
451
452
453
454
455
456
457
# flash SPI constraint
set_property BITSTREAM.CONFIG.SPI_BUSWIDTH 4 [current_design]
set_property CONFIG.MODE SPIx4[current_design]
set_property BITSTREAM.CONFIG.CONFIGRATE 50 [current_design]

#-------------------------sys_input---------------------------------
#set UnusedPin
set_property BITSTREAM.CONFIG.UNUSEDPIN Pullnone [current_design]

#system clock
set_property PACKAGE_PIN Y18 [get_ports clk]
set_property IOSTANDARD LVCMOS33 [get_ports clk]

#reset active low,S4
set_property PACKAGE_PIN B21 [get_ports rst_n]
set_property IOSTANDARD LVCMOS33 [get_ports rst_n]

#key active low,S3-S0
set_property PACKAGE_PIN A21 [get_ports s3]
set_property PACKAGE_PIN B20 [get_ports s2]
set_property PACKAGE_PIN A20 [get_ports s1]
set_property PACKAGE_PIN F15 [get_ports s0]
set_property IOSTANDARD LVCMOS33 [get_ports s3]
set_property IOSTANDARD LVCMOS33 [get_ports s2]
set_property IOSTANDARD LVCMOS33 [get_ports s1]
set_property IOSTANDARD LVCMOS33 [get_ports s0]

# switch input
set_property PACKAGE_PIN B22 [get_ports sw7]
set_property PACKAGE_PIN C22 [get_ports sw6]
set_property PACKAGE_PIN D21 [get_ports sw5]
set_property PACKAGE_PIN E21 [get_ports sw4]
set_property PACKAGE_PIN G21 [get_ports sw3]
set_property PACKAGE_PIN E22 [get_ports sw2]
set_property PACKAGE_PIN D22 [get_ports sw1]
set_property PACKAGE_PIN G22 [get_ports sw0]
set_property IOSTANDARD LVCMOS33 [get_ports sw7]
set_property IOSTANDARD LVCMOS33 [get_ports sw6]
set_property IOSTANDARD LVCMOS33 [get_ports sw5]
set_property IOSTANDARD LVCMOS33 [get_ports sw4]
set_property IOSTANDARD LVCMOS33 [get_ports sw3]
set_property IOSTANDARD LVCMOS33 [get_ports sw2]
set_property IOSTANDARD LVCMOS33 [get_ports sw1]
set_property IOSTANDARD LVCMOS33 [get_ports sw0]
#-------------------------------------------------------------------

#-------------------------------led---------------------------------
set_property PACKAGE_PIN M21 [get_ports {Led[7]}]
set_property PACKAGE_PIN H22 [get_ports {Led[6]}]
set_property PACKAGE_PIN J22 [get_ports {Led[5]}]
set_property PACKAGE_PIN K22 [get_ports {Led[4]}]
set_property PACKAGE_PIN K21 [get_ports {Led[3]}]
set_property PACKAGE_PIN L21 [get_ports {Led[2]}]
set_property PACKAGE_PIN N22 [get_ports {Led[1]}]
set_property PACKAGE_PIN M22 [get_ports {Led[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {Led[7]}]
set_property IOSTANDARD LVCMOS33 [get_ports {Led[6]}]
set_property IOSTANDARD LVCMOS33 [get_ports {Led[5]}]
set_property IOSTANDARD LVCMOS33 [get_ports {Led[4]}]
set_property IOSTANDARD LVCMOS33 [get_ports {Led[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {Led[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {Led[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {Led[0]}]
#-------------------------------------------------------------------

#------------------------------IIC----------------------------------
# AHT10 + EEPROM + RTC
set_property PACKAGE_PIN M13 [get_ports SCL]
set_property PACKAGE_PIN M16 [get_ports SDA]
set_property IOSTANDARD LVCMOS33 [get_ports SCL]
set_property IOSTANDARD LVCMOS33 [get_ports SDA]
#-------------------------------------------------------------------

#------------------------------UART---------------------------------
set_property PACKAGE_PIN J21 [get_ports uart_rx]
set_property PACKAGE_PIN M17 [get_ports uart_tx]
set_property IOSTANDARD LVCMOS33 [get_ports uart_rx]
set_property IOSTANDARD LVCMOS33 [get_ports uart_tx]
#-------------------------------------------------------------------

#-------------------------------ir----------------------------------
set_property PACKAGE_PIN F21 [get_ports ir]
set_property IOSTANDARD LVCMOS33 [get_ports ir]
#-------------------------------------------------------------------

#------------------------------beep---------------------------------
set_property PACKAGE_PIN M15 [get_ports beep]
set_property IOSTANDARD LVCMOS33 [get_ports beep]
#-------------------------------------------------------------------

#-----------------------------GPIO1---------------------------------
#coms3
set_property PACKAGE_PIN F1 [get_ports {cmos3_data[7]}]
set_property PACKAGE_PIN E1 [get_ports {cmos3_data[6]}]
set_property PACKAGE_PIN G1 [get_ports {cmos3_data[5]}]
set_property PACKAGE_PIN G2 [get_ports {cmos3_data[4]}]
set_property PACKAGE_PIN H2 [get_ports {cmos3_data[3]}]
set_property PACKAGE_PIN J2 [get_ports {cmos3_data[2]}]
set_property PACKAGE_PIN J1 [get_ports {cmos3_data[1]}]
set_property PACKAGE_PIN K2 [get_ports {cmos3_data[0]}]
set_property PACKAGE_PIN B1 [get_ports cmos3_href]
set_property PACKAGE_PIN E2 [get_ports cmos3_pclk]
set_property PACKAGE_PIN K1 [get_ports cmos3_rst_n]
set_property PACKAGE_PIN L1 [get_ports cmos3_pwdn]
set_property PACKAGE_PIN B2 [get_ports cmos3_sclk]
set_property PACKAGE_PIN A1 [get_ports cmos3_sdat]
set_property PACKAGE_PIN D2 [get_ports cmos3_vsync]
set_property PACKAGE_PIN D1 [get_ports cmos3_xclk]
set_property IOSTANDARD LVCMOS33 [get_ports {cmos3_data[7]}]
set_property IOSTANDARD LVCMOS33 [get_ports {cmos3_data[6]}]
set_property IOSTANDARD LVCMOS33 [get_ports {cmos3_data[5]}]
set_property IOSTANDARD LVCMOS33 [get_ports {cmos3_data[4]}]
set_property IOSTANDARD LVCMOS33 [get_ports {cmos3_data[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {cmos3_data[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {cmos3_data[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {cmos3_data[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports cmos3_href]
set_property IOSTANDARD LVCMOS33 [get_ports cmos3_pclk]
set_property IOSTANDARD LVCMOS33 [get_ports cmos3_rst_n]
set_property IOSTANDARD LVCMOS33 [get_ports cmos3_pwdn]
set_property IOSTANDARD LVCMOS33 [get_ports cmos3_sclk]
set_property IOSTANDARD LVCMOS33 [get_ports cmos3_sdat]
set_property IOSTANDARD LVCMOS33 [get_ports cmos3_vsync]
set_property IOSTANDARD LVCMOS33 [get_ports cmos3_xclk]

set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets cmos3_pclk_IBUF]
set_property PULLUP true [get_ports cmos3_sclk]
set_property PULLUP true [get_ports cmos3_sdat]

#vga
set_property PACKAGE_PIN C2 [get_ports vga_hs]
set_property PACKAGE_PIN F4 [get_ports vga_vs]
set_property PACKAGE_PIN N2 [get_ports vga_data[15]]
set_property PACKAGE_PIN M3 [get_ports vga_data[14]]
set_property PACKAGE_PIN L5 [get_ports vga_data[13]]
set_property PACKAGE_PIN L4 [get_ports vga_data[12]]
set_property PACKAGE_PIN L3 [get_ports vga_data[11]]
set_property PACKAGE_PIN K4 [get_ports vga_data[10]]
set_property PACKAGE_PIN K3 [get_ports vga_data[9]]
set_property PACKAGE_PIN J4 [get_ports vga_data[8]]
set_property PACKAGE_PIN H4 [get_ports vga_data[7]]
set_property PACKAGE_PIN H3 [get_ports vga_data[6]]
set_property PACKAGE_PIN J6 [get_ports vga_data[5]]
set_property PACKAGE_PIN G3 [get_ports vga_data[4]]
set_property PACKAGE_PIN G4 [get_ports vga_data[3]]
set_property PACKAGE_PIN F3 [get_ports vga_data[2]]
set_property PACKAGE_PIN E3 [get_ports vga_data[1]]
set_property PACKAGE_PIN H5 [get_ports vga_data[0]]
set_property IOSTANDARD LVCMOS33 [get_ports vga_hs]
set_property IOSTANDARD LVCMOS33 [get_ports vga_vs]
set_property IOSTANDARD LVCMOS33 [get_ports vga_data[15]]
set_property IOSTANDARD LVCMOS33 [get_ports vga_data[14]]
set_property IOSTANDARD LVCMOS33 [get_ports vga_data[13]]
set_property IOSTANDARD LVCMOS33 [get_ports vga_data[12]]
set_property IOSTANDARD LVCMOS33 [get_ports vga_data[11]]
set_property IOSTANDARD LVCMOS33 [get_ports vga_data[10]]
set_property IOSTANDARD LVCMOS33 [get_ports vga_data[9]]
set_property IOSTANDARD LVCMOS33 [get_ports vga_data[8]]
set_property IOSTANDARD LVCMOS33 [get_ports vga_data[7]]
set_property IOSTANDARD LVCMOS33 [get_ports vga_data[6]]
set_property IOSTANDARD LVCMOS33 [get_ports vga_data[5]]
set_property IOSTANDARD LVCMOS33 [get_ports vga_data[4]]
set_property IOSTANDARD LVCMOS33 [get_ports vga_data[3]]
set_property IOSTANDARD LVCMOS33 [get_ports vga_data[2]]
set_property IOSTANDARD LVCMOS33 [get_ports vga_data[1]]
set_property IOSTANDARD LVCMOS33 [get_ports vga_data[0]]
#-------------------------------------------------------------------

#-----------------------------GPIO0---------------------------------
#coms1
set_property PACKAGE_PIN E14 [get_ports {cmos1_data[7]}]
set_property PACKAGE_PIN E13 [get_ports {cmos1_data[6]}]
set_property PACKAGE_PIN F14 [get_ports {cmos1_data[5]}]
set_property PACKAGE_PIN F13 [get_ports {cmos1_data[4]}]
set_property PACKAGE_PIN A19 [get_ports {cmos1_data[3]}]
set_property PACKAGE_PIN A18 [get_ports {cmos1_data[2]}]
set_property PACKAGE_PIN A16 [get_ports {cmos1_data[1]}]
set_property PACKAGE_PIN A15 [get_ports {cmos1_data[0]}]
set_property PACKAGE_PIN D14 [get_ports cmos1_href]
set_property PACKAGE_PIN B13 [get_ports cmos1_pclk]
set_property PACKAGE_PIN A14 [get_ports cmos1_rst_n]
set_property PACKAGE_PIN A13 [get_ports cmos1_pwdn]
set_property PACKAGE_PIN C15 [get_ports cmos1_sclk]
set_property PACKAGE_PIN C14 [get_ports cmos1_sdat]
set_property PACKAGE_PIN D15 [get_ports cmos1_vsync]
set_property PACKAGE_PIN C13 [get_ports cmos1_xclk]
set_property IOSTANDARD LVCMOS33 [get_ports {cmos1_data[7]}]
set_property IOSTANDARD LVCMOS33 [get_ports {cmos1_data[6]}]
set_property IOSTANDARD LVCMOS33 [get_ports {cmos1_data[5]}]
set_property IOSTANDARD LVCMOS33 [get_ports {cmos1_data[4]}]
set_property IOSTANDARD LVCMOS33 [get_ports {cmos1_data[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {cmos1_data[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {cmos1_data[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {cmos1_data[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports cmos1_href]
set_property IOSTANDARD LVCMOS33 [get_ports cmos1_pclk]
set_property IOSTANDARD LVCMOS33 [get_ports cmos1_rst_n]
set_property IOSTANDARD LVCMOS33 [get_ports cmos1_pwdn]
set_property IOSTANDARD LVCMOS33 [get_ports cmos1_sclk]
set_property IOSTANDARD LVCMOS33 [get_ports cmos1_sdat]
set_property IOSTANDARD LVCMOS33 [get_ports cmos1_vsync]
set_property IOSTANDARD LVCMOS33 [get_ports cmos1_xclk]

set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets cmos1_pclk_IBUF]
set_property PULLUP true [get_ports cmos1_sclk]
set_property PULLUP true [get_ports cmos1_sdat]

#coms2
set_property PACKAGE_PIN C19 [get_ports {cmos2_data[7]}]
set_property PACKAGE_PIN C18 [get_ports {cmos2_data[6]}]
set_property PACKAGE_PIN B18 [get_ports {cmos2_data[5]}]
set_property PACKAGE_PIN B17 [get_ports {cmos2_data[4]}]
set_property PACKAGE_PIN D16 [get_ports {cmos2_data[3]}]
set_property PACKAGE_PIN E16 [get_ports {cmos2_data[2]}]
set_property PACKAGE_PIN C17 [get_ports {cmos2_data[1]}]
set_property PACKAGE_PIN D17 [get_ports {cmos2_data[0]}]
set_property PACKAGE_PIN D20 [get_ports cmos2_href]
set_property PACKAGE_PIN E17 [get_ports cmos2_pclk]
set_property PACKAGE_PIN B16 [get_ports cmos2_rst_n]
set_property PACKAGE_PIN B15 [get_ports cmos2_pwdn]
set_property PACKAGE_PIN D19 [get_ports cmos2_sclk]
set_property PACKAGE_PIN E19 [get_ports cmos2_sdat]
set_property PACKAGE_PIN C20 [get_ports cmos2_vsync]
set_property PACKAGE_PIN F16 [get_ports cmos2_xclk]
set_property IOSTANDARD LVCMOS33 [get_ports {cmos2_data[7]}]
set_property IOSTANDARD LVCMOS33 [get_ports {cmos2_data[6]}]
set_property IOSTANDARD LVCMOS33 [get_ports {cmos2_data[5]}]
set_property IOSTANDARD LVCMOS33 [get_ports {cmos2_data[4]}]
set_property IOSTANDARD LVCMOS33 [get_ports {cmos2_data[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {cmos2_data[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {cmos2_data[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {cmos2_data[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports cmos2_href]
set_property IOSTANDARD LVCMOS33 [get_ports cmos2_pclk]
set_property IOSTANDARD LVCMOS33 [get_ports cmos2_rst_n]
set_property IOSTANDARD LVCMOS33 [get_ports cmos2_pwdn]
set_property IOSTANDARD LVCMOS33 [get_ports cmos2_sclk]
set_property IOSTANDARD LVCMOS33 [get_ports cmos2_sdat]
set_property IOSTANDARD LVCMOS33 [get_ports cmos2_vsync]
set_property IOSTANDARD LVCMOS33 [get_ports cmos2_xclk]

set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets cmos2_pclk_IBUF]
set_property PULLUP true [get_ports cmos2_sclk]
set_property PULLUP true [get_ports cmos2_sdat]
#-------------------------------------------------------------------

#-------------------------------USB---------------------------------
# usb
set_property PACKAGE_PIN J15 [get_ports fx2_sloe]
set_property PACKAGE_PIN K19 [get_ports fx2_slrd]
set_property PACKAGE_PIN L20 [get_ports fx2_slwr]
set_property PACKAGE_PIN H13 [get_ports {fx2_faddr[1]}]
set_property PACKAGE_PIN K13 [get_ports {fx2_faddr[0]}]
set_property PACKAGE_PIN H19 [get_ports {fx2_fdata[15]}]
set_property PACKAGE_PIN J19 [get_ports {fx2_fdata[14]}]
set_property PACKAGE_PIN K17 [get_ports {fx2_fdata[13]}]
set_property PACKAGE_PIN K18 [get_ports {fx2_fdata[12]}]
set_property PACKAGE_PIN L16 [get_ports {fx2_fdata[11]}]
set_property PACKAGE_PIN K16 [get_ports {fx2_fdata[10]}]
set_property PACKAGE_PIN L15 [get_ports {fx2_fdata[9]}]
set_property PACKAGE_PIN L14 [get_ports {fx2_fdata[8]}]
set_property PACKAGE_PIN G15 [get_ports {fx2_fdata[7]}]
set_property PACKAGE_PIN K14 [get_ports {fx2_fdata[6]}]
set_property PACKAGE_PIN G16 [get_ports {fx2_fdata[5]}]
set_property PACKAGE_PIN G13 [get_ports {fx2_fdata[4]}]
set_property PACKAGE_PIN J20 [get_ports {fx2_fdata[3]}]
set_property PACKAGE_PIN G20 [get_ports {fx2_fdata[2]}]
set_property PACKAGE_PIN G17 [get_ports {fx2_fdata[1]}]
set_property PACKAGE_PIN G18 [get_ports {fx2_fdata[0]}]
set_property PACKAGE_PIN H18 [get_ports fx2_flagb]
set_property PACKAGE_PIN J17 [get_ports fx2_flagc]
set_property PACKAGE_PIN L19 [get_ports fx2_ifclk]
set_property PACKAGE_PIN J14 [get_ports fx2_pkt_end]
set_property PACKAGE_PIN J16 [get_ports fx2_slcs]

set_property IOSTANDARD LVCMOS33 [get_ports fx2_sloe]
set_property IOSTANDARD LVCMOS33 [get_ports fx2_slrd]
set_property IOSTANDARD LVCMOS33 [get_ports fx2_slwr]
set_property IOSTANDARD LVCMOS33 [get_ports {fx2_faddr[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {fx2_faddr[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {fx2_fdata[15]}]
set_property IOSTANDARD LVCMOS33 [get_ports {fx2_fdata[14]}]
set_property IOSTANDARD LVCMOS33 [get_ports {fx2_fdata[13]}]
set_property IOSTANDARD LVCMOS33 [get_ports {fx2_fdata[12]}]
set_property IOSTANDARD LVCMOS33 [get_ports {fx2_fdata[11]}]
set_property IOSTANDARD LVCMOS33 [get_ports {fx2_fdata[10]}]
set_property IOSTANDARD LVCMOS33 [get_ports {fx2_fdata[9]}]
set_property IOSTANDARD LVCMOS33 [get_ports {fx2_fdata[8]}]
set_property IOSTANDARD LVCMOS33 [get_ports {fx2_fdata[7]}]
set_property IOSTANDARD LVCMOS33 [get_ports {fx2_fdata[6]}]
set_property IOSTANDARD LVCMOS33 [get_ports {fx2_fdata[5]}]
set_property IOSTANDARD LVCMOS33 [get_ports {fx2_fdata[4]}]
set_property IOSTANDARD LVCMOS33 [get_ports {fx2_fdata[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {fx2_fdata[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {fx2_fdata[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {fx2_fdata[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports fx2_flagb]
set_property IOSTANDARD LVCMOS33 [get_ports fx2_flagc]
set_property IOSTANDARD LVCMOS33 [get_ports fx2_ifclk]
set_property IOSTANDARD LVCMOS33 [get_ports fx2_pkt_end]
set_property IOSTANDARD LVCMOS33 [get_ports fx2_slcs]
#-------------------------------------------------------------------

#-------------------------------ETH---------------------------------
# eth
#ETH_Rst_n
set_property PACKAGE_PIN V22 [get_ports ETH_Rst_n]
set_property IOSTANDARD LVCMOS33 [get_ports ETH_Rst_n]

#gmii tx
set_property PACKAGE_PIN U22 [get_ports GMII_GTXC]
set_property PACKAGE_PIN AA19 [get_ports GMII_TXEN]
set_property PACKAGE_PIN U21 [get_ports {GMII_TXD[0]}]
set_property PACKAGE_PIN W22 [get_ports {GMII_TXD[1]}]
set_property PACKAGE_PIN W21 [get_ports {GMII_TXD[2]}]
set_property PACKAGE_PIN Y22 [get_ports {GMII_TXD[3]}]
set_property PACKAGE_PIN AB22 [get_ports {GMII_TXD[4]}]
set_property PACKAGE_PIN AA21 [get_ports {GMII_TXD[5]}]
set_property PACKAGE_PIN AB21 [get_ports {GMII_TXD[6]}]
set_property PACKAGE_PIN AA20 [get_ports {GMII_TXD[7]}]
set_property IOSTANDARD LVCMOS33 [get_ports GMII_GTXC]
set_property IOSTANDARD LVCMOS33 [get_ports GMII_TXEN]
set_property IOSTANDARD LVCMOS33 [get_ports {GMII_TXD[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {GMII_TXD[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {GMII_TXD[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {GMII_TXD[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {GMII_TXD[4]}]
set_property IOSTANDARD LVCMOS33 [get_ports {GMII_TXD[5]}]
set_property IOSTANDARD LVCMOS33 [get_ports {GMII_TXD[6]}]
set_property IOSTANDARD LVCMOS33 [get_ports {GMII_TXD[7]}]

#gmii rx
set_property PACKAGE_PIN T21 [get_ports GMII_RXC]
set_property PACKAGE_PIN AB18 [get_ports GMII_RDV]
set_property PACKAGE_PIN V17 [get_ports GMII_RXD[0]]
set_property PACKAGE_PIN V18 [get_ports GMII_RXD[1]]
set_property PACKAGE_PIN P19 [get_ports GMII_RXD[2]]
set_property PACKAGE_PIN R19 [get_ports GMII_RXD[3]]
set_property PACKAGE_PIN T20 [get_ports GMII_RXD[4]]
set_property PACKAGE_PIN U20 [get_ports GMII_RXD[5]]
set_property PACKAGE_PIN V19 [get_ports GMII_RXD[6]]
set_property PACKAGE_PIN V20 [get_ports GMII_RXD[7]]
set_property IOSTANDARD LVCMOS33 [get_ports GMII_RXC]
set_property IOSTANDARD LVCMOS33 [get_ports GMII_RDV]
set_property IOSTANDARD LVCMOS33 [get_ports GMII_RXD[0]]
set_property IOSTANDARD LVCMOS33 [get_ports GMII_RXD[1]]
set_property IOSTANDARD LVCMOS33 [get_ports GMII_RXD[2]]
set_property IOSTANDARD LVCMOS33 [get_ports GMII_RXD[3]]
set_property IOSTANDARD LVCMOS33 [get_ports GMII_RXD[4]]
set_property IOSTANDARD LVCMOS33 [get_ports GMII_RXD[5]]
set_property IOSTANDARD LVCMOS33 [get_ports GMII_RXD[6]]
set_property IOSTANDARD LVCMOS33 [get_ports GMII_RXD[7]]
set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets GMII_RXC_IBUF]
#-------------------------------------------------------------------

#----------------5寸/4.3TFT屏模块(使用扩展口GPIO2)----------------
##TFT
#set_property PACKAGE_PIN R17 [get_ports TFT_clk]
#set_property PACKAGE_PIN N17 [get_ports TFT_de]
#set_property PACKAGE_PIN R18 [get_ports TFT_pwm]
#set_property PACKAGE_PIN T18 [get_ports TFT_hs]
#set_property PACKAGE_PIN U18 [get_ports TFT_vs]
#set_property PACKAGE_PIN P5 [get_ports {TFT_rgb[15]}]
#set_property PACKAGE_PIN P4 [get_ports {TFT_rgb[14]}]
#set_property PACKAGE_PIN N3 [get_ports {TFT_rgb[13]}]
#set_property PACKAGE_PIN P2 [get_ports {TFT_rgb[12]}]
#set_property PACKAGE_PIN R1 [get_ports {TFT_rgb[11]}]
#set_property PACKAGE_PIN M5 [get_ports {TFT_rgb[10]}]
#set_property PACKAGE_PIN L6 [get_ports {TFT_rgb[9]}]
#set_property PACKAGE_PIN N5 [get_ports {TFT_rgb[8]}]
#set_property PACKAGE_PIN M6 [get_ports {TFT_rgb[7]}]
#set_property PACKAGE_PIN N4 [get_ports {TFT_rgb[6]}]
#set_property PACKAGE_PIN P6 [get_ports {TFT_rgb[5]}]
#set_property PACKAGE_PIN P17 [get_ports {TFT_rgb[4]}]
#set_property PACKAGE_PIN R14 [get_ports {TFT_rgb[3]}]
#set_property PACKAGE_PIN R16 [get_ports {TFT_rgb[2]}]
#set_property PACKAGE_PIN K6 [get_ports {TFT_rgb[1]}]
#set_property PACKAGE_PIN J5 [get_ports {TFT_rgb[0]}]

#set_property IOSTANDARD LVCMOS33 [get_ports TFT_clk]
#set_property IOSTANDARD LVCMOS33 [get_ports TFT_de]
#set_property IOSTANDARD LVCMOS33 [get_ports TFT_pwm]
#set_property IOSTANDARD LVCMOS33 [get_ports TFT_hs]
#set_property IOSTANDARD LVCMOS33 [get_ports TFT_vs]
#set_property IOSTANDARD LVCMOS33 [get_ports {TFT_rgb[15]}]
#set_property IOSTANDARD LVCMOS33 [get_ports {TFT_rgb[14]}]
#set_property IOSTANDARD LVCMOS33 [get_ports {TFT_rgb[13]}]
#set_property IOSTANDARD LVCMOS33 [get_ports {TFT_rgb[12]}]
#set_property IOSTANDARD LVCMOS33 [get_ports {TFT_rgb[11]}]
#set_property IOSTANDARD LVCMOS33 [get_ports {TFT_rgb[10]}]
#set_property IOSTANDARD LVCMOS33 [get_ports {TFT_rgb[9]}]
#set_property IOSTANDARD LVCMOS33 [get_ports {TFT_rgb[8]}]
#set_property IOSTANDARD LVCMOS33 [get_ports {TFT_rgb[7]}]
#set_property IOSTANDARD LVCMOS33 [get_ports {TFT_rgb[6]}]
#set_property IOSTANDARD LVCMOS33 [get_ports {TFT_rgb[5]}]
#set_property IOSTANDARD LVCMOS33 [get_ports {TFT_rgb[4]}]
#set_property IOSTANDARD LVCMOS33 [get_ports {TFT_rgb[3]}]
#set_property IOSTANDARD LVCMOS33 [get_ports {TFT_rgb[2]}]
#set_property IOSTANDARD LVCMOS33 [get_ports {TFT_rgb[1]}]
#set_property IOSTANDARD LVCMOS33 [get_ports {TFT_rgb[0]}]

set_property PACKAGE_PIN R1 [get_ports {GPIO2[26]}]
set_property PACKAGE_PIN N3 [get_ports {GPIO2[25]}]
set_property PACKAGE_PIN P2 [get_ports {GPIO2[24]}]
set_property PACKAGE_PIN P5 [get_ports {GPIO2[23]}]
set_property PACKAGE_PIN P4 [get_ports {GPIO2[22]}]
set_property PACKAGE_PIN P6 [get_ports {GPIO2[21]}]
set_property PACKAGE_PIN N4 [get_ports {GPIO2[20]}]
set_property PACKAGE_PIN N5 [get_ports {GPIO2[19]}]
set_property PACKAGE_PIN M6 [get_ports {GPIO2[18]}]
set_property PACKAGE_PIN M5 [get_ports {GPIO2[17]}]
set_property PACKAGE_PIN L6 [get_ports {GPIO2[16]}]
set_property PACKAGE_PIN K6 [get_ports {GPIO2[15]}]
set_property PACKAGE_PIN J5 [get_ports {GPIO2[14]}]
set_property PACKAGE_PIN R14 [get_ports {GPIO2[13]}]
set_property PACKAGE_PIN R16 [get_ports {GPIO2[12]}]
set_property PACKAGE_PIN R17 [get_ports {GPIO2[11]}]
set_property PACKAGE_PIN P17 [get_ports {GPIO2[10]}]
set_property PACKAGE_PIN U18 [get_ports {GPIO2[9]}]
set_property PACKAGE_PIN T18 [get_ports {GPIO2[8]}]
set_property PACKAGE_PIN R18 [get_ports {GPIO2[7]}]
set_property PACKAGE_PIN N17 [get_ports {GPIO2[6]}]
set_property PACKAGE_PIN P16 [get_ports {GPIO2[5]}]
set_property PACKAGE_PIN P15 [get_ports {GPIO2[4]}]
set_property PACKAGE_PIN N15 [get_ports {GPIO2[3]}]
set_property PACKAGE_PIN N14 [get_ports {GPIO2[2]}]
set_property PACKAGE_PIN P14 [get_ports {GPIO2[1]}]
set_property PACKAGE_PIN N13 [get_ports {GPIO2[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {GPIO2[26]}]
set_property IOSTANDARD LVCMOS33 [get_ports {GPIO2[25]}]
set_property IOSTANDARD LVCMOS33 [get_ports {GPIO2[24]}]
set_property IOSTANDARD LVCMOS33 [get_ports {GPIO2[23]}]
set_property IOSTANDARD LVCMOS33 [get_ports {GPIO2[22]}]
set_property IOSTANDARD LVCMOS33 [get_ports {GPIO2[21]}]
set_property IOSTANDARD LVCMOS33 [get_ports {GPIO2[20]}]
set_property IOSTANDARD LVCMOS33 [get_ports {GPIO2[19]}]
set_property IOSTANDARD LVCMOS33 [get_ports {GPIO2[18]}]
set_property IOSTANDARD LVCMOS33 [get_ports {GPIO2[17]}]
set_property IOSTANDARD LVCMOS33 [get_ports {GPIO2[16]}]
set_property IOSTANDARD LVCMOS33 [get_ports {GPIO2[15]}]
set_property IOSTANDARD LVCMOS33 [get_ports {GPIO2[14]}]
set_property IOSTANDARD LVCMOS33 [get_ports {GPIO2[13]}]
set_property IOSTANDARD LVCMOS33 [get_ports {GPIO2[12]}]
set_property IOSTANDARD LVCMOS33 [get_ports {GPIO2[11]}]
set_property IOSTANDARD LVCMOS33 [get_ports {GPIO2[10]}]
set_property IOSTANDARD LVCMOS33 [get_ports {GPIO2[9]}]
set_property IOSTANDARD LVCMOS33 [get_ports {GPIO2[8]}]
set_property IOSTANDARD LVCMOS33 [get_ports {GPIO2[7]}]
set_property IOSTANDARD LVCMOS33 [get_ports {GPIO2[6]}]
set_property IOSTANDARD LVCMOS33 [get_ports {GPIO2[5]}]
set_property IOSTANDARD LVCMOS33 [get_ports {GPIO2[4]}]
set_property IOSTANDARD LVCMOS33 [get_ports {GPIO2[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {GPIO2[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {GPIO2[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {GPIO2[0]}]

#-------------------------------------------------------------------


 评论